VHDL
Простейший сдвиговый регистр на VHDL
0788
Довльно нужная вещь этот ваш сдвиговый регистр… Напишем простейший вариант реализации на VHDL. Из входов у нас будет: тактирование (С, он же CLK)
Бла, бла код
VHDL
Сборка логической схемы в Quartus II
0116
Как я уже писал, заказал себе отладочную плату с EP2C5T144 на борту. Плата пришла, а вот программатор ещё к сожалению нет. Тем временем смоделируем логическую функцию.
Бла, бла код
VHDL
Создание нового проекта в Quartus II
0105
Решил взяться таки за освоение ПЛИС. Едет ко мне из китая вот такая плата: EP2C5T144 Altera Cyclone II FPGA Mini Development Board Вот и научимся пока
Бла, бла код
VHDL
Установка Quartus II в ubuntu linux
2164
Установка Quartus II в ubuntu linux Не смотря на то, что инсталятор предполагает создание ярлыка, он не создаётся. Для исправления этого придётся создать ярлык самим.
Бла, бла код
VHDL
Создаём RS триггер кодом в VHDL. Первые шаги на ПЛИС
2662
Как и обещал теперь только код. Синтаксис языка VHDL сильно похож на паскаль, как бы сильно я на него не плевался (как заядлый сишник), язык потрясающий.
Бла, бла код
VHDL
Первый проект в VHDL (Active HDL). Моделируем логическую схему
0281
Приступая к изучению языков проектирования цифровых автоматов (vhdl, verilog) хорошо бы знать основы булевой алгебры (алгебры логики) и понимать принципы
Бла, бла код